热门关键词:

EDA多功能数字钟的实现

  • 该文件为doc格式
  • 文件大小:1.39MB
  • 浏览次数
  • 发布时间:2012-11-25
文件介绍:

本资料包含doc文件1个,下载需要1积分

EDA多功能数字钟的实现题目:多功能数字钟的设计
要求:
1.显示格式为小时-分钟-秒钟”;
2.具备整点报时功能,报时时间为整点前10s开始报时,喇叭开始发声,直到整点时,在整点前5sLED开始闪烁,整点过后停止闪烁;
3.时间可调:调整时间按键S1、S2,S1调节小时,每按下-次增加-小时,S2调节分钟,每按下-次增加-分钟,另外S8作为系统时钟复位按键,复位后全部显示00-00-00”。
4.完成数字钟的VHDL程序调试,编译通过;
5.用网络表观测器察看寄存器传输级电路结构并进行截图;
6.进行时序仿真并进行截图;
7.按照规定格式完成大作业的设计报告,格式符合模板要求
2.程序清单
library ieee;
use ieee.stdlogic1164.all;
use ieee.stdlogicarith.all;
use ieee.stdlogicunsigned.all;
Entity cxbsz is
port(Clk:in stdlogic;
Rst:in stdlogic;
S1,S2:in stdlogic;
spk:out stdlogic;
led:out stdlogicvector(3 downto 0);
Display:out stdlogicvector(6 downto 0);
SEGSEL:buffer stdlogicvector(2 downto 0);
SEGWEIXUAN:buffer stdlogicvector(5 downto 0));
end cxbsz;

architecture rtl of cxbsz is
signal DispTemp:integer range 0 to 15;
signal DispDecode:stdlogicvector(6 downto 0);
signal SEC1,SEC10:integer range 0 to 9;
signal MIN1,MIN10:integer range 0 to 9;
signal HOUR1,HOUR10:integer range 0 to 9;
signal MusicCount:stdlogicvector(2 downto 0);

正在加载...请等待或刷新页面...
发表评论
验证码 验证码加载失败