热门关键词:

工业机器人控制器与伺服驱动器LVDS通讯设计

  • 该文件为pdf格式
  • 文件大小:312.19KB
  • 浏览次数
  • 发布时间:2014-12-14
文件介绍:

本资料包含pdf文件1个,下载需要1积分

随着工业机器人在企业中的不断迅速发展,运动控制器控制多个伺服驱动系统的能力在不断地提高,电机驱动的精度以及远距离传输通信影响了整个机器人运动操作。

传统的工业机器人控制系统采用的是专用的计算机加多单片机、多控制封 闭回路的体 系结构,但此种技术存在制造成本高,开发周期长,升级困难,无法添加系统新功能等-系列缺点。

随着技术的发展,采取了通用PC机和运动控制卡实现控制功能的方案。PC机具有编程简单、友好的人机交互界面、在线操作和使用方便等特点。但是,通用计算机缺点在于系统体积大,功耗大,难以应用于工业现场,而且难以实现实时性要求较高的远距离传输通信。

针对现有控制器的不足,本文采用了嵌入式集成处理器TI公司的DM3730作为工业机器人控制系统的核心单元。数字媒体微处理器DM3730集成了1GHz的ARM CortexTM-A8内核,800MHz的TMS320C64xTMDSP内核,超低功耗的供电及丰富的多通道传输接口提供给Xilinx FPGA多I/O输入,利用内部逻辑资源,通过LVDS I/O输出达到异步差分通信。

1 系统总体设计在工业机器人控制通讯的现场总线中,实现远端I/O通讯的协议有Ethernet、CAN、Modbus、Profibus、DeviceNet等,其中各协议的传输速率、传输距离都相对比较慢、短,且传输数据格式和转换延时比较复杂睇,现针对工业通讯的不足和设计接口的特点,采用了LVDS的伺服控制系统进行设计。总体设计结构如图1所示,系统包括控制器与FPGA通讯拈,并将编码器、光栅尺反馈信号至控制器处理,实现在10米外的驱动器上LVDS通讯过程中传输100Mbps的比特率信号。

图1 系统总体结构图2 硬件电路设计硬件部分包括主控电路、电源管理电路、电源转换电路、时钟电路、LVDS差分通讯电路等。

收稿日期:2012-09-21作者简介:范春健 (1987-),男,硕士研究生,研究方向为嵌入式系统应用。

第35卷 第2期 2013-02(下) [11务l 匐 №图2 控制器主板系统结构图2.1主控电路为 了减少设计 成本 和提高稳 定性 以及 利于开发升级 ,采用TI公 司具有1GHz的ARMCortexTM-A8和800MHz的TMS320C64xTMDSP架构的低功耗芯片DM3730,提供了32KB的指令及数据寄存器、64KB SRAM和256KB的L2 ROM,可有效地缓存数据。内部I/O具有4个USB、2个UART、4个SPI、4个BSP以及4个I2C的多功能复用管脚输出,处理器配合Xilinx FPGA Spartan-6系列的XC6SLX4中的3840个逻辑单元、600个配置逻辑块 (CLB)、4个数字时钟管理器 (DCM)组成倍频计数时钟电路,在DDR SDRAM存储器进行读写和功能配置,电源管理器TPS65930将实时控制时钟和电源驱动。

2.2时钟电路在DM3730内部存储结构运行状态下,为达到芯片系统工作的高速频率,要求为内部电路提供稳定的定时器,使用了26MHz的有源晶振,其内部经过-系列的锁相环 (PLL)和信号驱动器产生多个处理器的内部时钟。为了产生内核CPU的时钟,通过由PRCM寄存器控制的数字锁相环来运行26MHz信号。DM373O的外部晶振时钟32.768KHz的晶体必须直接与电源管理器TPS65930相连,为的是对CPU启动和实时时钟拈提供参考时钟。

图3 主控有源和无源时钟电路[21 第35卷 第2期 2013-02(下)2.3电源转换电路本设计中采用具有PWM控制的3A降压转换器作为DC转换芯片AP15l0,输入电压范围在3.6V-23V,输出电压范围在0.8V-Vcc,PWM调节在0%.100%之间,在输入为12V,工作温度在25。C时,反馈电压VFB为0.8V,带负载时输出电流为0.2A,电源效率达到91%。

图4 12v转5V直流开关转换如图4所示,芯片AP1510输入电压为12V,范围在12V500mV,在OCSET端串接-个5.6K Q电阻,限流为90uA,当使能为高电平时,正常工作,内部的MOSFET栅极输出-个开关电压,经外部稳压管蓄流,电感电容储能改变原先的方波为正弦波,并经电解电容滤波减少电磁干扰,通过改变外部电阻的分压比实现输出的大小,并将分压值反馈给VFB,通过与内部基准电压比较,再送给PWM控制电路,匹配输出,由这-原理得出输出电压的公式:Vout (1 R4)2.4 LvDS差分通信电路低压差分信号(LVDS)是适应高速数据传输和低功耗的-种用通用点对点物理接 口技术 。

LVDS物理接口使用1.2V偏置电压作为基准,摆幅提供大约350mV,发送和接收信号最大延时时间为2.7ns。LVDS比RS-485能提供更高的传输速率(-般为155Mbps)、更低的功耗,特别适合工业控制、电信基础设施和计算机的外围设备接口应用 。

本论文主要设计并实现FPGA产生百兆比特率在LVDS标准串行差分下远距离传输,而尽量减少信号传输衰减,利用专用收发均衡器CLC001和CLC012将之在双绞屏蔽线上损耗给予补偿,由于输出数据的压摆率和幅度可解决在PCB上减少很大-部分的电磁干扰口 。如图5所示,从FPGA内部务l 訇 似R18 15图5 LVDS收发均衡电路逻辑电路向I/O端口输出要达到十几米的差分信号必须加均衡器芯片CLC001,通过调节Rref的电阻值,输出可高达2V左右,调控电缆内的各信号电平。在接收器的DI和DI-两端必须接-个100 Q的终端匹配电阻,这样有效地抑制噪音干扰。

3 LVDS高速信号在FPGA中的实现3.1传输LVDS信号的原理本设计采用FPGA Spartan-6系IJXC6SLX4内的-个数字时钟管理器DCM、两个独立的吉比特收发器电路、-个先进先出FIFO和串行数据输入DIN完成并串数据发送再接收恢复的LVDS传输通讯。每个收发器具有高达3.2Gb/s的数据率,分隔的PLL复用参考频率30MHz,并且具有大量用户自定义的特征参数 。如图6所示,整个设计的流程发送接收图,在DCM给出的信号输入SQ创建了0。和90。相位与DIN给出信号输入SCtRJ建了0。和45。相位的通用互连 ,通过可配置逻辑块 (CLB)形成转接矩阵优化进位通道,在时钟周期内发送串行数据至FIFO缓存处理,零延时输出信号∮收时首先8次密集采样起始位,采样到的起始位为周期的某-时刻Tn,然后在Tn5Tm采样数据DO位,依次在下-个周期采样点Tm8采样下-位数据,共有10位数据,包括起始位和停止位。经时延处理在每-个CP下降沿来临时接收得到LVDS串行数据。

3.2发送拈设计发送拈根据流程图的设计要求,利用VHDL语言描述了CP为PLL的60M输入信号2倍频时钟输入,SQ为DCMIJ建的9O。时间间隔信号,SC为DIN创建的45。时间间隔信号,时钟管理管道中的PLL提供时钟相位为防止DCM的time0和 time90输DcM创建间隔90。的时钟相位DINtj建间隔45。的时钟相位将sQ和sc信号形成8个相位数据读取至FIF0,零延时输出LVDS通讯传输1u l周 捌 元 础 - ,r裂 催 t括1为起始、l位停止和8位数据 ,输出LvDS差分对信信号b刚到起始位的下辟采样点在Tn上 / / l Y- - - - - - - - - 在Tn4采样点接收第-位数据Tm8接收后面的数据位和停止位b17..31接收d[7.01差分数据结束图6 LVDS通讯传输流程图出的抖动嗍。

architecture Behavioral of sendLVDS issignal SQ:stdlogic;signal SC :stdlogic;signal CPj)CM:stdlogic;signal IBUFDSD OUT:stdlogic;beginif CPDCM<-0;thenSQ<:”0”;-DCM创建0。相位else CP DCM<1:thenSQ<”1”;-DCM创建9O。相位process(IBUFDS-DIH1-OUT)beginNif IBU同DSDIFFOUT 0 thenSC< 0;-DIN创建的0。时间间隔第35卷 第2期 2013-02(下) 3-删 lI l 訇 化信号elsif IBUFDSDIFFOUT1thenSC<1;-DINI]建的45。时间间隔信号endif;end process;end architecture Behavioral;3.3接收拈设计根据流程图的要求,下面VHDL接收拈对有效数据进行判断,采用多次密集型电平区间采样方法,在接收过程中当初始位在CP时钟8个等分点T1时刻采样得到8位反复采样起始位信号b[2.0,接着采样数据位在T5时刻有每隔8个采样点采样的信号b[7.3],经数据恢复单元DRU读入地址 ,最后接收有效的8位串行数据LVDS信号d[7.0]。

type I is(SO,S1,S2,S3,S4,S5,S6,S7,);-8个采样点receive:process(dsfiag,csflag,CPsample)begincase I iswhen SO000if CP.I sample1thendsflag< 0;-起始位状态d(7 downto O)<00000000;-输出8个相位数据b(2 downto 0)

!s。 f 1-门 r]r]r] r]r]厂---------------- I-SC 口l厂------------------- J-CP o 兀1n兀J]lr 叫 n n n n n n n n n n n n n n n n nnI唧 dl7 OI -[ ][二二 二二二[二二二二bl7 31 l D O[二[二)[二 工二][二 二二[二二二][口OI l-o 匝 鼎强匝 匝 )④(强砸 强匝图7 波形仿真图在实际的LVDS传输环境 中,存在许多干扰噪声,现把外来干扰视为各频谱分量服从均匀分布且幅度服从高斯分布的加性高斯 白噪声(AWGN),对其信号加载到传输通道中,得到不同信噪比下的数据位误码率,图8所示,信噪比在0-30dB之间误码率比较高,当信噪比增大时,系统的误码率就降低。

为使得差分数据在时钟周期内能无误地传送每-位,达到零延迟传输数据。首先在LVDS收发器两端增加均衡器,减少噪音信号;其次在硬件PCB上差分线应等长、等间距、少过孔走蛇形线,LVDS接口用滤波器和粗双绞线对信号进行处理;最后在传输8位数据后打包检查CRC校验,用2个ROM查表方法实现,即用高低4位二进制数在乘法器中相乘直接得到-个8位的补码,重新发送本段内容,这样如图9所示,误码率几乎为零。

LVDS差分数据在不同信噪比下的误码率范春键于江苏合丰图8 LVDS受加性高斯白噪声的误码率下转第71页 END EX匕:UTE退出并保存,即完成了装配图中的参数与零件名称为GUNZI的滚子半径 (GZBJ)和厚度(HD)的尺寸关联,逐-添加凸轮机构与机架、推杆、凸轮、销钉的各尺寸参数的关联,进而实现整个凸轮机构的参数化,与图7参数对应的凸轮机构如图9所示。

3 凸轮机构的运动仿真及运动分析三三三 nl Ttil0 filil:i :图10 -个周期内位移、速度、加速度与时间的关系曲线利用Pro/E应用程序中的机构拈对凸轮机构进行运动学仿真和分析,设置凸轮的转动角速度为50度/秒。分别点击图7的 动态仿真”、 位移分析”、 速度分析”、 加速度分析”按钮,得到动态仿真过程,如图9所示,运转-周 (12秒 )的位移、速度、加速度分析结果如图10所示。图中,从动件推程作等加速等减速运动,回程作等速运动。

4 结束语本文利用AGW实现了Pro/E和VB的数据传递,相比于使用Pro/E中的program更改参数,可操作性更强,设计者不必熟悉Pro,E软件即可完成凸轮的设计计算、三维实体建模、运动仿真及运动分析,大大地提高了设计的质量和效率。设计出的凸轮三维实体模型,可用于凸轮的设计、分析、数控加工等。本文的设计方法完全适应于其他类型的凸轮及凸轮机构。

正在加载...请等待或刷新页面...
发表评论
验证码 验证码加载失败